License: Creative Commons Attribution 4.0 International license (CC BY 4.0)
When quoting this document, please refer to the following
DOI: 10.4230/DagSemProc.05141.4
URN: urn:nbn:de:0030-drops-3053
URL: http://dagstuhl.sunsite.rwth-aachen.de/volltexte/2005/305/
Go to the corresponding Portal


Ayala, José Luis ; Lópes-Vallejo, Marisa

Compiler-Driven Power Optimizations in the Register File of Processor-Based Systems

pdf-format:
05141.AyalaJoseLuis.Paper.305.pdf (0.3 MB)


Abstract

The complexity of the register file is currently one of the
main factors on determining the cycle time of high
performance wide-issue microprocessors due to its
access time and size. Both parameters are directly
related to the number of read and write ports of the
register file and can be managed from a code
compilation-level. Therefore, it is a priority goal to
reduce this complexity in order to allow the efficient
implementation of complex superscalar machines. This
work presents a modified register assignment and a
banked architecture which efficiently reduce the number
of required ports. Also, the effect of the loop unrollling
optimization performed by the compiler is analyzed and
several power-efficient modifications to this mechanism
are proposed. Both register assignment and loop
unrolling mechanisms are modified to improve the
energy savings while avoiding a hard performance
impact.

BibTeX - Entry

@InProceedings{ayala_et_al:DagSemProc.05141.4,
  author =	{Ayala, Jos\'{e} Luis and L\'{o}pes-Vallejo, Marisa},
  title =	{{Compiler-Driven Power Optimizations in the Register File of Processor-Based Systems}},
  booktitle =	{Power-aware Computing Systems},
  pages =	{1--17},
  series =	{Dagstuhl Seminar Proceedings (DagSemProc)},
  ISSN =	{1862-4405},
  year =	{2005},
  volume =	{5141},
  editor =	{Luca Benini and Ulrich Kremer and Christian W. Probst and Peter Schelkens},
  publisher =	{Schloss Dagstuhl -- Leibniz-Zentrum f{\"u}r Informatik},
  address =	{Dagstuhl, Germany},
  URL =		{https://drops.dagstuhl.de/opus/volltexte/2005/305},
  URN =		{urn:nbn:de:0030-drops-3053},
  doi =		{10.4230/DagSemProc.05141.4},
  annote =	{Keywords: Register file, power reduction, compiler optimization, loop unrolling, banked architecture}
}

Keywords: Register file, power reduction, compiler optimization, loop unrolling, banked architecture
Collection: 05141 - Power-aware Computing Systems
Issue Date: 2005
Date of publication: 02.11.2005


DROPS-Home | Fulltext Search | Imprint | Privacy Published by LZI